![]() UVM_INFO testbench.sv(70) 100: Child seq: Inside pre_do UVM_INFO testbench.sv(78) 100: Child seq: Inside Body UVM_INFO testbench.sv(31) 100: Base seq: Inside mid_do UVM_INFO testbench.sv(27) 100: Base seq: Inside pre_do UVM_INFO testbench.sv(66) 100: Child seq: Inside pre_body UVM_INFO testbench.sv(62) 100: Child seq: Inside pre_start UVM_INFO testbench.sv(98) 100: Child seq: Inside post_body UVM_INFO testbench.sv(94) 100: Child seq: Inside post_do UVM_INFO driver.sv(16) 50: uvm_test_top.env_o.agt.drv Driving logic UVM_INFO testbench.sv(74) 50: Child seq: Inside mid_do UVM_INFO testbench.sv(70) 50: Child seq: Inside pre_do UVM_INFO testbench.sv(78) 50: Child seq: Inside Body UVM_INFO testbench.sv(66) 50: Child seq: Inside pre_body UVM_INFO testbench.sv(62) 50: Child seq: Inside pre_start UVM_INFO testbench.sv(50) 50: Base seq: Inside post_body UVM_INFO testbench.sv(46) 50: Base seq: Inside post_do UVM_INFO driver.sv(16) 0: uvm_test_top.env_o.agt.drv Driving logic UVM_INFO testbench.sv(31) 0: Base seq: Inside mid_do UVM_INFO testbench.sv(27) 0: Base seq: Inside pre_do UVM_INFO testbench.sv(35) 0: Base seq: Inside Body ![]() UVM_INFO testbench.sv(23) 0: Base seq: Inside pre_body UVM_INFO testbench.sv(19) 0: Base seq: Inside pre_start `uvm_info(get_type_name(), "Child seq: Inside post_body", UVM_LOW) `uvm_info(get_type_name(), "Child seq: Inside post_do", UVM_LOW) *req = seq_item::type_id::create("req") įunction void post_do(uvm_sequence_item this_item) `uvm_do(req) // Calls all pre_do, mid_do and post_do methos. `uvm_info(get_type_name(), "Child seq: Inside Body", UVM_LOW) `uvm_info(get_type_name(), "Child seq: Inside mid_do", UVM_LOW) `uvm_info(get_type_name(), "Child seq: Inside pre_do", UVM_LOW) įunction void mid_do(uvm_sequence_item this_item) `uvm_info(get_type_name(), "Child seq: Inside pre_body", UVM_LOW) `uvm_info(get_type_name(), "Child seq: Inside pre_start", UVM_LOW) ![]() `uvm_info(get_type_name(), "Base seq: Inside post_body", UVM_LOW) įunction new (string name = "child_seq") `uvm_info(get_type_name(), "Base seq: Inside post_do", UVM_LOW) Virtual function void post_do(uvm_sequence_item this_item) `uvm_do(req) // Calls all pre_do, mid_do and post_do methos. `uvm_info(get_type_name(), "Base seq: Inside Body", UVM_LOW) `uvm_info(get_type_name(), "Base seq: Inside mid_do", UVM_LOW) Virtual function void mid_do(uvm_sequence_item this_item) `uvm_info(get_type_name(), "Base seq: Inside pre_do", UVM_LOW) `uvm_info(get_type_name(), "Base seq: Inside pre_body", UVM_LOW) `uvm_info(get_type_name(), "Base seq: Inside pre_start", UVM_LOW) It is a user-definable callback that is called after the optional execution of the post_body task.Ĭlass base_seq extends uvm_sequence #(seq_item) It is a user-definable callback task that is called after the execution of the body only when the sequence is started with the start method. It is a user-definable callback function that is called after completing the item using either put or item_done methods. It is a user-defined task to write main sequence code. It is a user-definable callback function that is called after the sequence item is randomized, and just before the item is sent to the driver. It is a user-definable callback task that is called on parent sequence (if any) before the item is randomized and after sequence has issued wait_for_grant() call. It is a user-definable callback that is called before the execution of body only when the sequence is started with start. It is a user-definable callback that is called before the optional execution of the pre_body task. The following methods are called during sequence execution via the start method:
0 Comments
Leave a Reply. |
AuthorWrite something about yourself. No need to be fancy, just an overview. ArchivesCategories |